Sunday, May 5, 2024
 Popular · Latest · Hot · Upcoming
3
rated 0 times [  3] [ 0]  / answers: 1 / hits: 34477  / 3 Years ago, sat, may 29, 2021, 1:00:04

I tried to begin a small course with some examples in Verilog Hdl
and after spending much time searching for the best Simulator or IDE to practice with some examples , I failed to figure out how to use it on Ubuntu 12.04



So, I have two questions :




  1. How can I use Verilog on Ubuntu 12.04?


  2. What is the best Simulator (or IDE) available for Linux?



More From » programming

 Answers
1

You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.


[#34276] Monday, May 31, 2021, 3 Years  [reply] [flag answer]
Only authorized users can answer the question. Please sign in first, or register a free account.
mugustered

Total Points: 193
Total Questions: 123
Total Answers: 108

Location: Bermuda
Member since Wed, Mar 22, 2023
1 Year ago
mugustered questions
Sat, Nov 19, 22, 07:03, 1 Year ago
Sun, May 29, 22, 11:53, 2 Years ago
Fri, Dec 23, 22, 15:02, 1 Year ago
;